update:2019/07/26

リレーでつくる4ビット2進加算器

先にリレーを使った半加算器の製作を紹介しましたが、これに全加算回路を組み合わせ、4ビット2進加算器を作ってみました。

 

(1)全加算器をつくる

 全加算器は、半加算器の入力に前桁からの桁上げ分を加えたもので、2入力の場合ならば、入力は3となります。(図1)

 全加算器の真理値表は表1、論理式は図2のとおりで、これをリレーの接点を使った回路で表すと図3のようになります。

 図1  表1

 

 図2

 

 図3

 

 実際のリレーを使った全加算回路を図4に示します。リレーは2回路2接点のものを使用しています。

 図4

 

(2)入力表示回路と出力表示回路をつくる

 入力は4つの押しボタンスイッチで、4ビットの2進数を入力できるようにしています。表示回路もつけてあります。

 出力は5ビットの表示回路としています。

 図5

 

(3)4ビットの加算器をつくる

 4ビットの入力を2つ持つ全加算器は図6のような回路となります。

 図6

(4)使用パーツ

 今回は、同じ回路を複数枚製作する必要があるので、エッチングでプリント基板を自作しましたが、ユニバーサル基板でも作ることができます。

 表2

(5)動作させてみる

 図7のように、入力の2進数の和が出力に表示されます。(図では、111+1=1000 を表示しているところ)

 図7